fabsurplus.com

List of K equipment available for sale at fabsurplus.com

The following are the items available for sale related to K at SDI fabsurplus.com. To inquire about the K equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of K items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
109327 Advanced Energy PARAMOUNT MF 3KW RF GENERATOR Spares 1 as is where is
109339 Advanced Energy PINACLE-20K RF GENERATOR Spares 7 as is where is
109340 Advanced Energy PINACLE-20K RF GENERATOR Spares 10 as is where is
109341 Advanced Energy PINACLE-20K RF GENERATOR Spares 1 as is where is
109349 Advanced Energy XSTREAM-8K RF GENERATOR Spares 1 as is where is
107176 Advanced Energy Pinnacle 10k RF Generator Spares 1 as is where is
107209 Advanced Energy Pinnacle Plus 5k RF Generator Spares 1 as is where is immediately
109304 Advanced Energy MDX-10K RF GENERATOR Spares 1 as is where is
109305 Advanced Energy MDX-10K RF GENERATOR Spares 2 as is where is
109306 Advanced Energy MDX-10K RF GENERATOR Spares 1 as is where is
109307 Advanced Energy MDX-20K RF GENERATOR Spares 1 as is where is
77167 Advantech HK-6323 data acquisition card ISA Spares 2 as is where is immediately
83503 Advantest BGK-017719 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83504 Advantest BGK-011702 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
109712 AE (Advanced Energy) MDX 1.5K Generator SPARES 1 inquire
109713 AE (Advanced Energy) MDX 1.5K Generator SPARES 1 inquire
109714 AE (Advanced Energy) MDX 10K Generator SPARES 1 inquire
109715 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109716 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109717 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109718 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109726 AE (Advanced Energy) MDX-10K Master Generator SPARES 1 inquire
109727 AE (Advanced Energy) MDX-10K Master Generator SPARES 1 inquire
109728 AE (Advanced Energy) MDX-10K Slave Generator SPARES 1 inquire
109729 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109730 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109731 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109732 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109733 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109734 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109735 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109778 AE (Advanced Energy) PEII 5K Generator SPARES 1 inquire
109779 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109780 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109781 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109782 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109783 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109784 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109785 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109786 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109787 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109788 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109789 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109790 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109791 AE (Advanced Energy) Pinnacle 12k Generator SPARES 1 inquire
109792 AE (Advanced Energy) Pinnacle 12k Generator SPARES 1 inquire
109793 AE (Advanced Energy) Pinnacle 12k Generator SPARES 1 inquire
109794 AE (Advanced Energy) Pinnacle 15k Generator SPARES 1 inquire
109795 AE (Advanced Energy) Pinnacle 15k Generator SPARES 1 inquire
109796 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109797 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109798 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109799 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109800 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109801 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109802 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109803 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109804 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109805 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109806 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109807 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109808 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109809 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109817 AE (Advanced Energy) Pinnacle Dual 6k Generator SPARES 1 inquire
109818 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109819 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109820 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109821 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109822 AE (Advanced Energy) Pinnacle Plus 5k Generator SPARES 1 inquire
100908 AP Systems KORONA-1200P METAL RTP 300 mm 31.05.2005 1 as is where is
96537 Applied Materials Mirra Ontrak Poly/STI CMP 200 mm 01.05.1999 1 inquire
96538 Applied Materials Mirra Ontrak Poly/STI CMP 200 mm 31.05.1999 1 inquire
109360 Applied Materials MARKⅡDPS RF GENERATOR Spares 6 as is where is
91192 Applied Materials P5000 Mark-II CVD TEOS 200 mm 1 as is where is
91193 Applied Materials P5000 Mark-II CVD TEOS 150 mm 1 as is where is
91194 Applied Materials P5000 Mark-II CVD DxL 200 mm 1 as is where is
91195 Applied Materials P5000 Mark-II CVD TEOS 200 mm 1 as is where is
91196 Applied Materials P5000 Mark-II CVD+Etch Sputter 200 mm 1 as is where is
91199 Applied Materials P5000 Mark-II CVD+PVD TEOS 2Ch, SPUTTER 2Ch 200 mm 01.05.1997 1 as is where is
91201 Applied Materials P5000 Mark-II CVD+Etch TEOS 2Ch, Sputter 2Ch 200 mm 01.05.2000 1 as is where is
110925 Applied Materials ModelUDK5128NA Head Sweep Driver - CMP controller Spares 5 inquire
106584 Applied Materials ENDURA 2 (Gray Rack) 3CH (WxZ) 300 mm 01.06.2007 1 as is where is
109154 Applied Materials Reflexion LK Oxide Dielectric CMP 300mm 1 as is where is
110716 Applied Materials P5000 Mark 2 2 Chambers TEOS PECVD and 2 Chambers Dry Etch 150 MM 01.06.2000 1 as is where is immediately
108701 Applied Materials Centura 5200 High K CVD Process Chamber CVD Process Chamber 200 mm 1 as is where is immediately
110767 Applied Materials Mirra 3400 Ontrak CMP Polisher with Cleaning System 200 mm 01.05.1997 1 as is where is immediately
108254 Applied Materials P5000 Mark 2 CVD system with 4 x DXZ chambers, Silane process 200 mm 01.06.2001 1 as is where is immediately
106981 Applied Materials Reflexion LK CMP system 300 mm 1 inquire immediately
106984 Applied Materials Kawasaki 4.0 Fab Interface Module 300 mm 1 inquire immediately
83894 ASAHI TOOLS K-2 PRECISION WRENCH PARTS 1 as is where is immediately
32217 ASAHI TOOLS K-2 PRECISION WRENCH PARTS 1 as is where is immediately
109363 ASE AMV-1000-27M-ASMGK-L RF GENERATOR Spares 6 as is where is
109364 ASE AMV-1000-27M-ASMGK-R RF GENERATOR Spares 6 as is where is
53270 Astec Powertec 9K2-300-372 Super Switcher Power Supply Spares 31.05.1994 2 as is where is immediately
106803 Asyst Versaport 2200 with shuttle and back plate SMIF loader 200mm 6 as is where is immediately
108153 ATI OAK-1 Auto Scope Inspection 01.06.2013 1 as is where is
94603 Axcelis Rock 4 x Kit Air Jet Replacement SPARES 1 as is where is
94604 Axcelis Rock 4 x Kit Air Jet Replacement SPARES 1 as is where is
77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 156 mm 31.05.2007 1 as is where is immediately
83589 Beckhoff BK9000 Ethernet interface module Spares 1 as is where is immediately
83594 Beckhoff KL9050 PLC Module 1 as is where is immediately
84213 Beckhoff KL9020 Ethernet interface Spares 2 as is where is immediately
84774 Canon Chuck, 8 inch Wafer Chuck for EX3, EX4, i4, i5 200 mm 30.04.1999 1 as is where is immediately
103741 CANON ROCKY KS-112 SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS SPARES 31.10.1996 1 as is where is immediately
80253 Canon FPA1550 MK4 (Spares) Targetting lenses for Canon Stepper qty 2 SPARES 31.05.1995 2 as is where is immediately
52153 Canon Chuck Tool Chuck Tool for EX3, EX4, i4, i5 200 mm 30.04.1999 1 as is where is immediately
84412 CANON FPA 1550 Mark IV (Spares) Wafer transfer arm INL-WS1 for CANON FPA 1550 MARK 4 150 mm 1 as is where is immediately
109969 Celtec CK180-6 Generator SPARES 1 inquire
109970 Celtec CK180-6 Generator SPARES 1 inquire
109374 COMDEL CLX-10K RF GENERATOR Spares 28 as is where is
109375 COMDEL CLX-10K RF GENERATOR Spares 1 as is where is
109384 COMDEL CX-10K RF GENERATOR Spares 7 as is where is
87089 Credence Personal Kalos I Test system TEST 01.10.2002 1 as is where is immediately
108810 DAGE 2400PC-WP10KG 10 Kg Wire Pull Load Cell for Dage 2400 SPARES 1 inquire
69789 Dage 4000-HK-5-2 160-OT12-PTP-02 PULL TEST hook Spares 1 as is where is immediately
77186 Despatch KK33UH-009-00-4,MC Thermocouple sensor probe spares 1 as is where is immediately
106022 DNS SK 2000 BVPE COATER AND DEVELOPER TRACK WITH 2 CT, 2 BCT, 4 DEVELOPERS 200 mm 01.09.2003 1 as is where is immediately
110630 DNS SK-3000-BVPEU Lithography Coater and Developer 300 mm 1 as is where is
106023 DNS SK 2000 BVPE Photoresist coater and developer - 2 ct - 2 bct - 4 dev 200 mm 01.03.2004 1 as is where is immediately
110975 DNS NK005-2 D-SONIC FLOW METER Spares 5 inquire
110987 DNS KG5025 LEVEL SENSOR Spares 5 inquire
93076 DNS SK-200W-AVPF Coater / Developer system (2C/2D) 200 mm 31.05.1997 1 as is where is
93077 DNS SK-200W-BVPE i-Line Photo Track Coater (3C3D) 200 mm 31.05.1997 1 as is where is
91581 DNS SK-80BW AVPE Photoresist Coater and Developer system (2C/2D) 200 mm 31.05.1995 1 as is where is 3 months
109572 EDWARDS iH1000 Mark 5 Dry vacuum Pump PUMP 50 as is where is immediately
109573 EDWARDS iH1800 Mark 5 Dry vacuum Pump PUMP 35 as is where is immediately
83636 ELCO CO. LTD K50A-15 POWER SUPPLY 15V3.4A 3 as is where is immediately
83639 ELCO CO. LTD K SERIES POWER SUPPLY K100 A-12 0 as is where is immediately
79596 Elind KL 1200W Laboratory Power supply Electronics Test and Measurement 01.05.2000 8 as is where is immediately
110296 ENI Spectrum 5K 2mhz Generator SPARES 1 inquire
109175 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 200mm 1 as is where is
109176 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 200mm 1 as is where is
108412 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 5 as is where is
95575 FSI Polaris 3500 (Spares) IKEGAMI PM-930A PICURE MONITOR Spares 1 as is where is immediately
107016 Genmark Various genmark robots and Edwards turbo pumps Mixed lot of Edwards Turbo pumps, Genmark Robots and controllers and a JEL robot Spares 01.06.2013 9 as is where is immediately
106855 Gigaphoton A700GK-1 248 nm (KrF) excimer laser Facilities 01.10.2007 1 as is where is immediately
111342 Gigaphoton G21K2-1 KrF excimer laser 248 nm Facilities 01.11.2003 1 as is where is immediately
106042 Hardwall cleanroom Kingspan Ultratech Versatile 950 sq mt ISO Class 8 cleanroom facilities 01.06.2023 1 as is where is immediately
110320 Henry Henry 2k Controller Miscellaneous SPARES 1 inquire
110321 Henry Henry 2K Controller Miscellaneous SPARES 1 inquire
86278 Hitachi 6280H CONTROL RACK CD SEM (PARTS) Spares 01.05.1995 1 as is where is immediately
77151 Ingersoll Rand ANAQK-ABXAB-160 Provenair HT Pneumatic Cylinder Spares 01.01.2008 1 as is where is immediately
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components Assembly 01.05.2010 1 as is where is immediately
108074 Karl Suss Mask 9inch Mask for 8inch Aligner spares 1 as is where is
53035 KLA-Tencor 2132 (8 inch Wafer Chuck Assembly) Ceramic Wafer chuck, 8" spares 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system Spares 31.05.1992 1 as is where is immediately
18607 KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system Rev. B 31.05.1992 1 as is where is immediately
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system sp 31.05.1992 1 as is where is immediately
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18611 KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system Spares 01.05.1992 1 as is where is immediately
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system SPARES 31.05.1992 1 as is where is immediately
84409 KLA-Tencor AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT SPARES 1 as is where is immediately
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
84265 KOGANEI KA CMA AIR CYLINDER 1 as is where is immediately
84055 KOGANEI LTD KA.CMA MINI CYLINDER 3 as is where is immediately
84056 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
84057 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
108920 Komatsu G20K4-1 248 nm (KrF) excimer laser LASER 01.05.2001 2 as is where is immediately
106689 KORNIC KORONARTP1200+ RTP 300 mm 01.06.2010 1 as is where is
109438 KYOSAN HPK06ZI-TE7-SINGLE RF GENERATOR Spares 17 as is where is
109439 KYOSAN HPK15ZD RF GENERATOR Spares 1 as is where is
109440 KYOSAN JFK85TH-TC6 RF GENERATOR Spares 20 as is where is
106701 LAM TORUS300K DRY Bevel Etcher 300 mm 01.06.2006 1 as is where is
110331 Lam Kiyo Poly Match Match SPARES 1 inquire
102568 LAM Research TORUS 300K Dry Etch 2 inquire
82879 LAMBDA CKS-36-21A 36V DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
15066 LAMBDA PHYSIK Novaline K2005 248 nm excimer laser for ASML /300 facilities 01.06.2002 1 as is where is immediately
108174 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108175 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108176 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108177 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108180 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108181 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108182 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108183 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
106241 LEYBOLD ISO-K 100 Large ISO-K Vacuum Bellows and 90 Degree Elbow Spares 1 as is where is immediately
108840 LEYBOLD-HERAEUS Turbotronik NT 150/360 Turbomolecular Vacuum Pump Controller PUMP 1 inquire
33542 Liebherr FKV 3610 Fridge for the safe storage of photoresist facilities 1 as is where is immediately
108844 MACTRONIX UKA-625 Eureka III Jr. Manual Wafer Transfer 1 inquire
108845 MACTRONIX UKA-825 Wafer Transfer Tool - Eureka Jr. for 200 mm Wafers 200 MM 1 inquire
108764 MACTRONIX UKA-650 Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers 150 mm 1 as is where is
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 150 mm 01.05.1995 1 inquire immediately
83554 MITSUBISHI FR-Z120-0.4K PLC, INVERTER 200 V CLASS FREQROL-Z120 1 as is where is immediately
108289 Mitutoyo Quick Vision QVT1-X606P1L-D CNC coordinate measuring system ASSEMBLY 01.06.2016 1 as is where is
111405 MKS AX7685-20-C Astek Astron E Remote Plasma Cleaner 1 as is where is immediately
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges spares 1 as is where is immediately
72156 MRL Black Max Black max heater element, 850 celcius Spares 01.11.2006 1 as is where is immediately
83545 Nemic-LAMBDA CKD-65/65 65V 6A DC Regulated power supply FOR Advantest T5335P Spares 1 as is where is immediately
83546 Nemic-LAMBDA TKS_36-21A 36V 21A DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
99382 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
99383 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
109045 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2003 1 as is where is immediately
109046 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2004 1 as is where is immediately
105867 Nisshin 7 kgf/cm2 pressure gauge spares 1 as is where is immediately
105868 Nisshin 4 kgf/cm2 pressure gauge spares 1 as is where is immediately
105870 Nisshin 0-1 kgf/cm2 pressure gauge spares 1 as is where is immediately
111232 NISSIN SOK30-2070 Crucible - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111233 NISSIN SOK30-2015 Gas Nozzle - 2300 2300 (Nissin) Spares 5 inquire
111235 NISSIN SOK30-2109 I/S Suppression Cable - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111236 NISSIN SOK30-2001 Arc Chamber Plate, Upper - 2300 2300 (Nissin) Spares 5 inquire
111265 NISSIN SOK30-2035 Reflector 2 - 2300 2300 (Nissin) Spares 5 inquire
111266 NISSIN SOK30-2091 Electrode 2 - 2300 2300 (Nissin) Spares 5 inquire
111267 NISSIN SOK30-2061 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111268 NISSIN SOK30-2068 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111269 NISSIN SOK30-2097 Insulator - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111270 NISSIN SOK30-2096 Electrode Spacer B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111271 NISSIN SOK30-2133 Gas Nozzle (4) - 3000 3000(Mo) Spares 5 inquire
111272 NISSIN SOK30-2141 Spacer C - 3000 3000(MO) Spares 5 inquire
111273 NISSIN SOK30-2137 Electrode 2 - 3000 3000(MO) Spares 5 inquire
111275 NISSIN SOK30-2124 Insulation Ring (1-4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111276 NISSIN SOK30-2123 Reflector (4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111277 NISSIN SOK30-2125 Insulation Ring (2-4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111278 NISSIN SOKS03-2018 Arc Chamber Side Plate A - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111279 NISSIN SOKS03-2019 Arc Chamber Side Plate B - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111089 Nissin SOK30-2140 Electrode 5 - 3000 3000(Mo) Spares 5 inquire
111090 Nissin SOK30-2094 Electrode 5 - 2300&3000 (W) 2300 (Nissin) Spares 5 inquire
111091 Nissin SOK30-2093 Electrode 4 - 2300&3000 (W) 2300 (Nissin) Spares 5 inquire
111092 Nissin SOK11-0112 Insulation Ring 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111093 Nissin SOK30-2139 Electrode 4 - 3000 3000(Mo) Spares 5 inquire
111094 Nissin SOK11-0123 Filament Feedthrough 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111095 Nissin SOK11-0111 Insulation Ring 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111096 Nissin SOK11-0122 Filament Feedthrough 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111100 Nissin SOK30-2142 Electrode 6 - 3000 3000(Mo) Spares 5 inquire
111101 Nissin SOK40-2031 Insulator (A) - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111102 Nissin SOK30-2089 Electrode 1-A - 2300 2300 (Nissin) Spares 5 inquire
109498 NPP NPG-15KM RF GENERATOR Spares 10 as is where is
109499 NPP NPG-3KG RF GENERATOR Spares 1 as is where is
109505 NPP NPM-10KCSM RF GENERATOR Spares 4 as is where is
109506 NPP NPM-10KCSMD RF GENERATOR Spares 3 as is where is
109509 NPP NPM-2KEM RF GENERATOR Spares 1 as is where is
109510 NPP NPM-3KCAT RF GENERATOR Spares 1 as is where is
83905 NUPRO SS-4BK-V51 REGULATOR PRESSURE Spares 1 as is where is immediately
111400 Olympus KLA 1500 LCD Cold light source with ring light and Adapter ring for different microscope sizes Assembly 4 as is where is immediately
83835 Olympus WHK 10X/20L-H EYEPIECES FOR TRINOCULAR MICROSCOPE Spares 2 as is where is immediately
15900 ORIENTAL MOTOR 21K6GK-A2 INDUCTION MOTOR 2 as is where is immediately
83805 ORIENTAL MOTOR 21K6GN-A TW9 50602 1 as is where is immediately
83806 ORIENTAL MOTOR 51K40GN-AT 1 as is where is immediately
83808 ORIENTAL MOTOR 5rk40gk-a2 MOTOR ELECTRIC 1 as is where is immediately
83809 ORIENTAL MOTOR 2IK6GK-A MOTOR ELECTRIC 01.12.1993 1 as is where is immediately
83823 ORIENTAL MOTOR 4GK15K MOTOR ELECTRIC 1 as is where is immediately
83629 ORIENTAL MOTOR 4RK25RGK-AM REVERSIBLE MOTOR SPARES 1 as is where is immediately
83893 ORIENTAL MOTOR 4GN30K GEAR HEAD 1 as is where is immediately
69817 Oriental Motor VEXTA PK564-NAC Brand New 5-Phase Stepping Motor, 0.72 degree/step, with driver unit Spares 01.06.1996 1 as is where is immediately
13014 Oriental Motor 5RK40RGK-AM REVERSIBLE MOTOR, 40W 100V 50/60 HZ Spares 01.06.2000 1 as is where is
109516 PEARL RP-3000-100MK-PS RF GENERATOR Spares 13 as is where is
109518 PEARL ZDK-926-100M RF GENERATOR Spares 13 as is where is
108861 PEARL KOGYO ZDK-916L2X Automatch Controller, Brand New, 4ea Available SPARES 1 inquire
83933 PM500 09 P MASK RETICLE 1 as is where is immediately
31246 PMS Liquitrack 776200 Non volatile residual Monitor for water-quality checking facilities 01.10.1999 1 as is where is immediately
69870 Power One HPM5A2A2KS234 5V Switching Power Supply TEST 31.05.1998 5 as is where is immediately
69873 Power One HPM5F2F2KS233 2V Switching Power Supply TEST 01.06.1998 2 as is where is immediately
69874 Power One HPM5E2E2KS228 28 V Switching Power Supply TEST 31.05.1998 2 as is where is immediately
83508 POWERTEC ASTEC 9K2-300-372 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
110399 RF Services Splitter 1k Miscellaneous SPARES 1 inquire
110400 RF Services Splitter 1k Miscellaneous SPARES 1 inquire
108866 ROYCE INSTRUMENTS ASTM-2kg Die Shear Load Cell SPARES 1 inquire
108871 ROYCE INSTRUMENTS STM-20kg Die Shear Load Cell SPARES 1 inquire
108872 ROYCE INSTRUMENTS System 550 100K Die Shear/Bond Pull Tester with RPTM 50g Wire Pull Load Cell, ASTM-200g, ASTM 2K, STM 20K & STM 100K Shear Test Load Cells, Collection of Workholders SPARES 1 inquire
84373 SAMSUNG MR16R0828AN1-CKB 128 MB/8 RAM 2 as is where is immediately
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 200 mm 01.06.2006 13 as is where is immediately
110467 Semco HVS 2K Controller Miscellaneous SPARES 1 inquire
109525 SEREN R301MKⅡ RF GENERATOR Spares 1 as is where is
105873 Shinmei Keiki 0-1 kgf/cm2 pressure gauge spares 2 as is where is immediately
77157 SMC ZX1101-K15LZB-D21L-X121 Vacuum GENERATOR ZXF35 Spares 1 as is where is immediately
110631 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110632 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110633 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110634 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110635 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110636 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
84366 Sosul Etch Kit 6" Etch kit for Sosul 2300 6" 1 as is where is immediately
84023 Sun Ultrasparc 60 (Hard Disk Drive) Hard Disk from Unix computer from Teradyne J994 Test 01.01.1997 1 as is where is immediately
69782 Super vexta udk5114n 5-phase driver 1 as is where is immediately
110527 TDK RKW24 Power Supply SPARES 1 inquire
110528 TDK RKW24 Power Supply SPARES 1 inquire
110529 TDK RKW24 Power Supply SPARES 1 inquire
110530 TDK RKW24 Power Supply SPARES 1 inquire
110531 TDK RKW24 Power Supply SPARES 1 inquire
98846 TEL Tokyo Electron VIGUS Mask Dielectric Etch 300 mm 30.04.2009 1 as is where is immediately
98847 TEL Tokyo Electron VIGUS RK2 Dielectric Etch 300 mm 31.07.2013 1 as is where is immediately
110638 TEL Tokyo Electron Mark8 Lithography Coater and Developer with 2c, 2d 150 mm 1 as is where is
108606 TEL Tokyo Electron I/F Block (Mark8 - i11D) Track interface block for Nikon i11D 200 mm 01.05.1997 1 as is where is
108107 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, DCS MTO 300 mm 1 as is where is
108108 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, MTO 300 mm 1 as is where is
110693 TEL TOKYO ELECTRON Mark 8 Polyimide Cure Track 200 mm 01.06.2009 1 as is where is immediately
110698 TEL TOKYO ELECTRON Mark 5 Photoresist Track 150 mm 01.06.1991 1 as is where is immediately
93040 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2009 1 as is where is
93041 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
93042 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
103562 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103564 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
109244 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109245 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer) 300mm 1 as is where is
109246 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Coat only Track 300mm 1 as is where is
109247 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109248 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109249 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Pro Z Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109250 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109251 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109252 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109253 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109259 TEL TOKYO ELECTRON TELFORMULA ALD High-K Vertical Furnace 300mm 1 as is where is
108513 TEL Tokyo Electron Tactras Vigus RK5 Dielectric Etch 300 mm 1 as is where is
108886 TERRA UNIVERSAL 8 Tank Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks 1 inquire
84257 THK KS 3J22 RELIANCE BEARING 1 as is where is immediately
110581 Trikon Trikon M6 Match SPARES 1 inquire
110582 Trikon Trikon M6 Match SPARES 1 inquire
110583 Trikon Trikon M6 Match SPARES 1 inquire
111153 Varian KBE1228 BEARING KIT RMS IDLER - - Spares 5 inquire
107011 Veeco Dektak 200 Si Contact Profilometer - for spares use (Not operational condition) 150 mm 01.06.2000 1 as is where is immediately
108894 VEECO Dektak 3030ST Profilometer 1 inquire
77171 WARNER LINEAR K2G20-24V-BR-10lsc LINEAR ACTUATOR , 24V DC Spares 01.11.2006 1 as is where is immediately
106837 Yaskawa XU RC350D-K01+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3100) 300 mm 1 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry